電子行業綜合分析:核心龍頭業績亮眼,設備材料國產化序幕拉開

認是 發佈 2022-09-24T19:38:17.124518+00:00

2022 上半年 23 家 IC 設計公司中 14 家公司淨利潤同比增速為 正,9 家公司歸母淨利潤同比增速超過 50%,5 家公司歸母淨利潤同比增速超過 100%。

(報告出品方/作者:國盛證券,鄭震湘、佘凌星、鍾琳)

一、國產化替代進行中,2022H1 半導體業績穩增

1.1 IC 設計:研發投入不斷加大,且待庫存拐點來臨。

2022 上半年 IC 板塊整體穩中有進,消費電子受疫情衝擊以及需求疲軟雙重影響,承壓 較大,汽車電子以及新能源板塊需求景氣度依然較高。IC 設計板塊整體營收利潤均有增 長,但毛利率及淨利率水平出現略微下滑,庫存水位大幅增長。在上半年景氣周期向下 的趨勢中,IC 設計板塊研發費用依然保持高增長,以韋爾股份、兆易創新、聖邦股份、 卓勝微、瀾起科技、景嘉微等為代表的公司也在不斷疊代推出新產品,有望在未來開拓 更多市場份額。 我們選取 23 家晶片設計公司(主板 14 家+科創板 9 家)作為 IC 設計板塊成分股進 行分析,可以發現 2022 上半年 IC 設計板塊行情有一定分化。消費電子受疫情衝擊以及 需求疲軟雙重影響,承壓較大,汽車電子以及新能源板塊需求景氣度依然較高。23 家 IC 設計公司中 14 家營收同比增速為正,6 家公司營收同比增速超過 50%,兩家公司營收 同比增速超過 100%;2022 上半年 23 家 IC 設計公司中 14 家公司淨利潤同比增速為 正,9 家公司歸母淨利潤同比增速超過 50%,5 家公司歸母淨利潤同比增速超過 100%。

整體來看,2022 上半年 IC 設計板塊實現營收 449.3 億元,同比增長 9.56%,實現歸母 淨利潤 99.95 億元,同比增長 10.79%。單看二季度,IC 設計板塊實現營業收入 232.90 億元,同比增長 4.25%,環比增長 7.63%;實現歸母淨利潤 56.01 億元,同比增長 1.00%,環比增長 27.46%。板塊上半年受疫情衝擊以及行業景氣周期下行的影響,營收 及利潤取得增長,但對應增速同比有所下滑。


毛利率維持穩定,淨利率有所下滑。板塊毛利率在 2022H1 達到 42.7%,近三年 IC 設 計板塊毛利率始終維持在 40%左右的水平,我們認為板塊能夠維持較高毛利率的主要原 因是設計公司不斷推出新產品,新品疊代及產品組合優化提升了整體毛利率。2022H1 板 塊淨利率為 22.3%,較去年同期略微上升 0.25%,較 2021 年末下降了 1.28%。淨利率 的略微下降,主要系板塊內公司在景氣下行的趨勢中依然維持較高的研發投入所致。

研發費用保持高速增長,三費整體管控出色。IC 設計板塊 2022H1 整體研發費用為 67.3 億元,較 2021 年同期增長 20.7%。較高的研發投入是 IC 設計公司不斷疊代開發新產品 的重要驅動,有望提升板塊未來成長能力。同時,觀察公司其他各項費用可以看出,隨 著 IC 設計板塊整體規模的不斷增加,三費規模亦呈現出增長的態勢,但費用占比呈下降 趨勢,2022H1 板塊三費合計 57.3 億元,占營業收入比重 6.4%。

存貨占比拐頭向下,且待庫存拐點來臨。受上半年疫情和行業景氣下行的影響,以及考 慮到外圍環境帶來的不確定性因素增多,IC 設計公司也積極增加原材料庫存,板塊庫存 水位持續升高,2022Q2 存貨規模 322 億元,環比增長 17.3%,同比增長 96.4%。從存 貨收入占比來看,2022Q2 存貨占比已拐頭向下。隨著下半年疫情的逐步穩定以及行業 庫存管理效果的顯現,有望在實現存貨收入占比降低的同時,迎來庫存水位拐點。 預付帳款可以部分反映出 IC 設計公司對產業鏈上游晶圓代工以及封裝測試供應商的備 貨水平,2022 上半年外圍不確定因素增多,考慮到供應鏈穩定等因素,板塊預付帳款同 比提升趨勢明顯,22Q1 預付帳款提升幅度較大達到 34.1 億元,環比增長 52.2%,同比 增長 65.5%。板塊整體備貨水平積極。


1.2 2022Q2 國產設備廠商營收持續高增,規模化效應逐步顯現

2021 年及 2022Q2 設備公司收入、利潤快速增長,國產替代持續深化。設備行業核心 公司(北方華創、芯源微、華海清科、拓荊科技、中微公司、萬業企業、至純科技、精 測電子、盛美上海、新益昌、長川科技、華峰測控)2022H1 營業收入總計 150.2 億元, 同比增長 41.2%;扣非歸母淨利潤 46.6 億元,同比增長 135.0%。我們看到設備行業公 司整體保持營收高速增長,國產替代空間快速打開,同時隨著產品放量,規模效應凸顯, 盈利水平持續提升,國內核心設備公司成長可期。

設備廠商在手訂單充足,合同負債保持較高增速。2022Q1,設備板塊主要公司合同負債 合計分別為 139.5 億元,同比增長 76.2%,保持高增速。其中,北方華創 2022Q1 合同 負債達到 50.9 億元。


大陸 12 寸晶圓廠建廠潮帶動設備需求持續增長。生產效率及降低成本因素推動下,全 球 8 寸擴產放緩,12 寸晶圓廠擴產如火如荼。2020 年以來,國內 12 寸晶圓廠遍地開 花,除中芯國際外,聞泰、格科微、海芯等公司紛紛計劃建設 12 寸晶圓廠,粵芯半導體、 華虹無錫等 12 英寸生產線陸續建成投產。根據 SEMI,2019 年至 2024 年,全球至少新 增 38 個 12 寸晶圓廠,其中台灣 11 個,中國大陸 8 個,到 2024 年,中國 12 寸晶 圓產能將占全球約 20%。大量晶圓廠的擴建、投產,將帶動對上游半導體設備的需求提 升,更有望為國產化設備打開發展空間。 中芯國際、華虹 CapEx 持續上行。中芯國際 2021 年資本開支維持高位,達到 45 億美 金(大部分用於擴成熟製程,尤其是 8 寸數量擴 4.5 萬片/月),預計 2022 年達到 50 億 美金。華虹 2021 年全年資本開支 9.39 億美金,其中 8.39 億美金用於 12 英寸擴產, 0.99 億美金用於 8 英寸產能。公司 2022 年規劃資本開支超過 15 億美金,其中 12 寸產 能從 65K 增加到 95K,資本開支預計 14 億美金,8 寸廠升級提升效率,預計開支約 1.8 億美金。根據公司 2022Q1 法說會,華虹無錫二期規劃開始進行,技術上延展特色工藝 平台,相關工作在抓緊推進中。

長存、長鑫產品研發疊代,加速追趕海外龍頭。合肥長鑫從 19nm 向 17nm 轉移,加速 技術提升,在北京設廠進一步擴產。長江存儲 2019 年開始量產 64 層 3DNAND,2020 年 4 月發布 128 層 3DNAND,2022 年 8 月正式推出基於 Xtacking3.0 技術的第四代 TLC 三維快閃記憶體 X3-9070,相比上一代產品,存儲密度更高,I/O 速度更快,高達 2400MT/s, 提升 50%,並採用 6-plane 設計,在性能提升超過 50%的情況下,功耗降低 25%。此 次新品推出,公司加速追趕步伐,進一步縮小與海外龍頭差距。 長存、長鑫開啟存儲產業國產替代大幕。長江存儲二期合計規劃產能 30 萬片/月,合肥 長鑫規劃三期產能,全部投產後達到 36 萬片/月。長江存儲、合肥長鑫作為國內存儲產 業發展重鎮,在打開存儲產業國產替代局面中具有重要作用。並且國內的存儲產業對於 半導體設備及材料都將具有重要的拉動作用。

2021年全球半導體設備市場規模創1026億美元新高,大陸占比全球第一。根據SEMI, 2021 年半導體設備銷售額 1026 億美元,同比激增 44%,全年銷售額創歷史新高。大陸 設備市場在 2013 年之前占全球比重為 10%以內,2014~2017 年提升至 10~20%,2018 年之後保持在 20%以上,份額呈逐年上行趨勢。2020-2021 年,國內晶圓廠投建、半導 體行業加大投入,大陸半導體設備市場規模首次在市場全球排首位,2021 達到 296.2 億 美元,同比增長 58%,占比 28.9%。展望 2022 年,存儲需求復甦,韓國預計將領跑全 球,但大陸設備市場規模有望保持較高比重。


設備國產化率較低,海外龍頭壟斷性較高。我國半導體設備市場仍非常依賴進口,從市 場格局來看,細分市場均有較高集中度,主要參與廠商一般不超過 5 家,top3 份額往往 高於 90%,部分設備甚至出現一家獨大的情況,目前國內廠商目標市場主要是國內晶圓 廠需求,尤其是內資投建的需求。 製程越先進,設備投資額占比越高。設備投資一般占比 70~80%,當製程到 16/14nm 時, 設備投資占比達 85%;7nm 及以下占比將更高。光刻、刻蝕、沉積、過程控制、熱處理 等均是重要投資環節。

國內國產化逐漸起航,從 0 到 1 的過程基本完成。北方華創產品布局廣泛,刻蝕機、 PVD、CVD、氧化/擴散爐、退火爐、清洗機、ALD 等設備新產品市場導入節奏加快,產 品工藝覆蓋率及客戶滲透率進一步提高,在集成電路領域主流生產線實現批量銷售,產 品加速疊代;第三代半導體、新型顯示、光伏設備產品線進一步拓寬,出貨量實現較快 增長。拓荊科技作為國內唯一一家產業化應用 PECVD 和 SACVD 設備的供應商,PECVD 累計發貨 150 台,廣泛用於中芯國際、華虹集團、長江存儲、合肥長鑫、廈門聯芯、燕 東微電子等國內主流晶圓廠,PEALD 已實現銷售;中微公司介質刻蝕機已經打入 5nm 制 程,新款用於高性能 Mini-LED 量產的 MOCVD 設備 UniMax 2022Q1 訂單已超 180 腔; 芯源微前道塗膠顯影設備在 28nm 及以上多項技術及高產能結構方面取得進展,並實現 多種核心零部件的國產替代,公司前道物理清洗設備已經達到國際先進水平並成功實現 國產替代,新簽訂單結構中前道產品占比大幅提升;華海清科 CMP 設備在邏輯晶片、3D NAND、DRAM 製造等領域的工藝技術水平已分別突破至 14nm、128 層、1X/1Ynm,到 2021 年底,公司 CMP 設備累計出貨超過 140 台,未發出產品的在手訂單超 70 台。 Mattson(屹唐半導體)在去膠設備市占率全球第二;盛美半導體單片清洗機在海力士、 長存、SMIC 等產線量產。精測電子、上海睿勵在測量領域突破國外壟斷。

1.3 半導體零部件:設備的基石,行業現狀供不應求

半導體精密零部件種類眾多,市場較為分散。由於半導體設備技術工藝複雜、種類各異, 因此精密零部件的種類繁多,主要包括機械類中的金屬工藝件、結構件,電氣類,機電 一體類,氣體/液體/真空系統類,儀器儀表類,光學類等等,各個細分市場規模較小, 且不同零部件之間工作原理各異,導致碎片化特徵較明顯。蔡司的用於 EUV 光刻機的空 間成像測量系統(AIMS™)就擁有 134 個供應商,4500 個系統部件,6.4 萬個零部件。


半導體設備先進零部件交期延長兩倍以上。美國、日本和德國生產的 先進零部件交期延長尤為嚴重,如高級傳感器、精密溫度計、控制設備的 MCU 和電力線 通信(PLC)設備。其中 PLC 設備的交期已經被延遲到超過 12 個月。出現這種情況的原 因主要是零部件廠商通常重資產,擴產速度相對半導體設備廠商較慢。

2021 年全球半導體零部件市場規模超過 200 億美金。海外半導體研究機構 VLSI 將全 球半導體零部件主要分為兩大類,一大類是半導體關鍵子系統(Critical Subsystems), 主要包括真空系統(Vacuum)、電源系統(Power)、流量控制(Fluid)、光學系統(Optical Subsystems)、晶圓傳遞(Wafer Robotics)、熱量管理(Thermal)等,另一大類是關鍵 零部件(Critical Components),包括靜電卡盤、陶瓷件等,根據根據 VLSI,2020 年全 球半導體零部件關鍵子系統市場規模超過 122 億美金,預計 2021 年同比再增長 7%達 到約 130 億美金。VLSI 測算關鍵子系統市場規模在 120 億美金左右的同時,認為關鍵零 部件市場規模在 70 億美金,若同樣按照 7%增速測算,2021 年關鍵零部件市場規模預 計為 75 億美金。 由於 VSLI 數據為 2020 年底預測數據,實際上根據 SEMI,全球半導體設備市場規模 2021 年同比增長 44%,若按照與設備市場同樣的增速測算,2021 年半導體關鍵子系統的需 求在 122*1.44=175.7 億美金,關鍵零部件市場需求在 70*1.44=100.8 億美金,合計市 場規模或超過 275 億美金。

刻蝕、沉積需求驅動電源系統高增速。VLSI 測算電源系統占半導體關鍵子系統的從 2016 年的 9.8%提升至 2021 年的 13%,從量價角度來看,平均每個反應腔需要的射頻電源 系統數量持續增加,同時下游對以高頻為代表的高端電源子系統需求增加帶來平均價質 量的增加。多重曝光及 3D NAND 層數不斷增加,帶來了對刻蝕、沉積步驟的需求提升, 以 3D NAND 為例,時間更長、更複雜的刻蝕步驟對電源系統解決方案的需求也在不斷 提升。從下游應用來看,電源系統中 71%的需求來源於刻蝕設備。

2020 年中國晶圓廠前道設備零部件採購額超過 10 億美金。根據芯謀研究,2020 年中 國大陸晶圓廠 8 英寸和 12 英寸前道設備零部件採購金額超過 10 億美金。其中不含海外 廠商在國內的產線,中國內資晶圓廠採購金額約 4.3 億美金。中國晶圓廠採購的設備零 部件主要包括石英(Quartz)、射頻發生器(RF Generator)、各種泵(Pump)等,分別 占零部件採購金額的比重≥10%。此外各種閥門(Valve)、吸盤(Chuck)、反應腔噴淋 頭(Shower Head)、邊緣環(Edge Ring)等零部件的採購占比也較高。 如果以 2020 年全球 192 億美金的市場規模為基礎,中國的 10 億美金採購額占全球的不 到 5%,我們認為主要是因為國內設備廠商正處於持續研發突破,產品初步起量階段, 也因此隨著國產設備廠商的放量,未來國內零部件需求預計會快速增長。


半導體設備本身結構複雜,對加工精度、一致性、穩定性要求較高,導致精密零部件制 造工序繁瑣,技術難度大,行業內多數企業只專注於個別生產工藝,或專注於特定精密 零部件產品。通過兼併收購進行橫向擴張,目前多數細分行業內領先的供應商為日美企 業。近年來國產廠商奮起直追,在部分細分領域已經逐步實現國產替代,例如菲利華的 石英零部件,萬業企業旗下的 Compart System 的氣體系統,華卓精科的雙工機台等。

全球前十大關鍵子系統供應商市占率自 2010 年起始終維持在約 50%。2000-2010 年 伴隨收購併購,行業持續整合,全球關鍵子系統前十大廠商的合計份額逐步提升,2010 年以來前十大家的份額始終維持在 50%左右的水平。2020 年,蔡司仍占據第一位置, 受益於對射頻電源子系統的強勁需求,MKS 超過 Edwards 躍居第二。

1.4 各類材料持續持續突破,業績佐證國產替代正式開幕

各類材料持續持續突破,業績佐證國產替代正式開幕。隨著半導體市場晶圓代工的持續 擴產,對於晶圓製造中不可缺失的基礎材料將會有著非常大的需求拉動,而在此階段我 們可以看到隨著技術及工藝的推進以及中國電子產業鏈逐步的完善,在材料領域已經開 始湧現出各類已經進入批量生產及供應的廠商。 彤程新材 IC 光刻膠業務北京科華上半年半導體光刻膠業務實現營收 8,543.2 萬元, yoy+51.3%。公司上半年新增 6 支 KrF 及 7 支 I line 光刻膠產品。面板光刻膠北旭電子 2022H1 產品出貨 1610 噸,同比增長 8%,國內市占率約為 19.2%。鼎龍股份拋光墊業 務上半年營收 2.36 億元,yoy+132%。鼎匯微上半年淨利潤 1.19 億,淨利率 49.1%。 跟隨下游大客戶擴產,公司 Pad 出貨及份額穩步增長,海外客戶市場拓展按計劃推進中。 持續開發高性能新品,提升產品覆蓋力,拋光液、清洗液、PI 漿料等新品逐步導入客戶, 打開第二/三增長曲線。凱美特氣 2022 年 1 月至 7 月電子特氣產品訂單披露金額累計已 達到上億元。興森科技廣州興科 BT 載板、廣州和珠海 FCBGA 封裝基板項目的整體投資 規模為 102 億,有望看到公司未來實現 IC 載板產品線的全覆蓋,隨著公司新增載板產 能逐步爬坡投產,以及行業當前供需緊張的態勢,充分受益國內半導體的巨大封裝需求, 加速提升載板業務的收入規模和貢獻業績。

除了以上我們節選的部分半導體及電子材料廠商對於中國卡脖子關鍵材料的替代以外, 還有眾多 A 股上市公司在努力的投入研發力量致力於更多材料的國產化。無論是成本占 比最大的半導體矽片,再到被美國高度壟斷的 CMP(拋光液及拋光墊)材料,均都實現 了一定的技術突破,在不同的實現果實的收穫。


2021 年半導體市場規模超預期增長,且未來隨著晶圓廠逐步投產,行業產值有望在 2030 年超過萬億美元市場。從需求端來看,以汽車、工業、物聯網、5G 通訊等代表的 需求驅動驅動全球半導體產業進入「第四次半導體矽含量提升周期」。根據 SEMI,2021 年全球半導體產值有望超過 5500 億美元,達到歷史新高,且在 2022 年根據 SEMI 對於 行業資訊機構的統計,平均對於 2022 年的增長預期將達到 9.5%,即 2022 年市場規模 有望突破 6000 億美元(此為平均值)。此外隨著全球 8 寸及 12 寸晶圓新產能逐步的在 2022 年至 2024 年的投放,至 2024 年全球將會有 25 家 8 寸晶圓廠投產,60 座 12 寸晶 圓廠投放。隨著該 85 座晶圓廠的投放,至 2030 年全球半導體晶圓市場將有望達到萬億 美元市場,實現年複合增長率約 7%。 2021 年全球半導體材料市場規模創新高,中國大陸需求占比 18.6%。根據 SEMI,強 勁的下游需求及晶圓產能的擴張驅動 2021 年全球半導體材料市場規模同比增長 15.9% 達到 643 億美金新高。其中晶圓製造材料和封裝材料市場規模分別為 404 億美金和 239 億美金,同比增長 15.5%和 16.5%。晶圓製造環節中的矽片、化學品、CMP 和光掩膜環 節是增速最快的幾大領域,而矽片也是晶圓製造中成本占比最高的環節,市場規模超過 130 億美金。由於半導體晶片存在較大的價格波動,但是作為上游原材料的價格相對較 為穩定,因此半導體材料可以被譽為半導體行業中剔除價格影響最好的參考指標之一。

半導體製造過程繁瑣且複雜,對於的材料大類的設計也超過了 9 種。其中矽片的占比最 大,達到了 122 億美元,37.3%;其次為電子特氣,市場規模約為 43 億美元,13.2%; 光掩模,光刻膠及其輔助材料分別為 41 億美元和 40億美元,占比達到12.5%和 12.2%。

在全球半導體材料的需求格局之中,中國大陸從 2011 年的 10%的需求占比,至 2021 年 已經達到占據全球需求總量的 18.6%,僅次於台灣(22.9%),位列全球第二。隨著 整個半導體產業的持續增長,以及中國大陸不斷新建的代工產能,我們有望看到中國大 陸半導體市場規模增速將會持續超越全球增速的同時,攀登至全球需求第一的寶座。


半導體材料國產化率仍待轉化。在國家產業政策大力扶持和國內半導體市場穩定增長等 利好條件下,特別是國家「02 專項」等專業化科研項目的培育下,國內半導體材料領域 將湧現更多具有國際競爭力的公司和產品,在更多關鍵半導體材料領域實現進口替代, 打破國外廠商的壟斷。半導體晶片製造工藝半導體將原始半導體材料轉變成半導體晶片, 每個工藝製程都需要電子化學品,半導體晶片造過就是物理和化學的反應過程,半導體 材料的應用決定了摩爾定律的持續推進,決定晶片是否將持續縮小線寬。目前我國不同 半導體製造材料的技術水平不等,但整體與國外差距較大,存在巨大的國產替代空間。 我們選取代表性公司彤程新材、鼎龍股份、凱美特氣、興森科技、安集科技、滬矽產業、 雅克科技、立昂微、金宏氣體和晶瑞股份,2021 年電子材料營收綜合約為 98 億元人民幣,考慮到其他未收錄的非上市公司及上市公司,我們展開樂觀假設:中國有著電子半 導體材料營收規模 150 億人民幣(更多的為中低端產品,高端產品仍然在持續突破及替 代),在當前 643 億美元的全球市場之中也僅僅 4%不到的替代率;在中國所需的產值 約 119 億美元的市場需求中,也僅占 19%,因此可以看到中國無論是在中國市場或者 全球市場之中,均有著巨大的國產化空間。

1.5 晶圓代工廠產能利用率仍維持高位,中芯國際逆勢擴產彰顯決心

台積電、中芯國際紛紛增加資本開支,CapEx 進入上行期。根據 IC Insights,全球代工 廠資本開支約占半導體總體的 35%,根據頭部代工廠的資本開支規劃來看,2022 年代 工領域資本開支將進一步提升。台積電從 2020 年 170 億美金增長到 2021 年的 300 億 美金(用於 N3/N5/N7 的資本開支占 80%),公司 2021 年 4 月 1 日公布未來三年資本開 支 1000 億美金,2022 年資本開支將進一步提升至 400-440 億美金,預計 2023 年資本 開支仍有望超過 400 億美金;聯電 2021 年 CapEx 18 億美金,預計 2022 年翻倍達到 36 億美金(其中 90%將用於 12 英寸晶圓);GlobalFoundries 於 2021 年 IPO 後資本開支 大幅提升用於擴產,公司 2020 年 CapEx 4.5 億美金,2021 年提升至 16.6 億美金,預計 2022 年超過 40 億美金;中芯國際 2021 年資本開支維持高位,達到 45 億美金(大部分 用於擴成熟製程,尤其是 8 寸數量擴 4.5 萬片/月),預計 2022 年達到 50 億美金。


台積電 22Q2 營收接近指引上限,毛利率超指引上限。Q2 增長主要系 HPC、IoT 和汽車 相關等更好需求。Q2 營收 5341.4 億元新台幣(181.6 億美元),yoy+43.5%,qoq+8.8%; 歸母淨利 2370.3 億元新台幣,yoy+76.4%,qoq+16.9%;毛利率 59.1%,同比+9.1%, 環比+3.5%,營業利潤率49.1%,同比+10.0%,環比+3.5%,淨利率44.4%,同比+8.3%, 環比+3.1%。Q2 毛利率環比提升 3.5%主要系更有利匯率,成本改善,和價值貢獻,Q2 毛利率超指引上限,匯率影響 0.9%。

按節點:各節點營收占比,5nm 21%,7nm 30%,16nm 14%,28nm 10%。先 進位程,即 7nm 及以下(7,5nm)合計占比 51%;按領域:HPC 營收 qoq+13%,占比 43%;汽車營收 qoq+14%,占比 5%;智慧型手機營收 qoq+3%,占比 38%;物聯網 qoq+14%,占比 8%;通信設備 qoq+5%,占比 3%。


指引:2022 年營收(按美元計)將增長 mid30%左右;未來幾年按美元計營收 CAGR 15% -20%。22Q3 營收指引 198~206 億美元,中值 11.2%環比增長(基於美元:新台幣=1: 29.7 假設)。毛利率指引:57.5~59.5%。營業利潤率指引:47~49%。Q3 毛利率指引環 比下降 60bp 主要系通貨膨脹對成本端影響抵消有利匯率影響;長期毛利率指引 53%及 以上不變。 產能:2022 全年將持續緊張;矽含量提升,包括 HPC,汽車,手機,IOT 等領域;2023 年產能利用率健康。 庫存:庫存調整預計會持續幾個季度,至少到 23H1。 資本開支:台積電供應商正遇到疫情帶來的供應鏈挑戰,成熟/先進節點都面臨上游設 備供給的交期增長,所以公司預期今年部分 capex 遞延到明年,2022 產能計劃不受影 響,台積電只是在為 2023 年設備的如期交付而努力。預計 2022 年資本支出將更接近此 前預測區間下限(400~440 億美元)。

N3:2022H2 量產,2023H1 有營收貢獻,會對整體毛利率有 2~3 個點的稀釋。預期其 後平滑爬坡,HPC,手機都有驅動。 N3E:生產在 N3 後一年 N2:在進程中,預計 2024 試產,2025 量產;公司和客戶共同合作,客戶更關心有效功 率;chiplet 的客戶占比在提升。較 N3E 同功率下 10~15%速度提升,同速度下 20~30% 功率優化,20%+邏輯密度增加。 中芯國際 22Q2 營收增速及毛利率均超指引上限。公司上半年營收 37.45 億美元, yoy+53.0%;毛利率 40.1%,yoy+13.3%;經營利潤 10.75 億美元,yoy+62.4%;歸母 淨利 9.62 億美元,yoy+13.6%。公司 22Q2 單季度營收 19.03 億美元,yoy+41.6%, qoq+3.3%,環比增速超指引上限(22Q1 指引 qoq+1%~3%),出貨量及 ASP 均有小幅 增長;毛利率 39.4%(22Q1 指引 37%~39%),yoy+9.3%。歸母淨利 5.14 億美元,yoy25.2%,qoq+15.0%。

22Q2 營收拆分:大陸及香港占比繼續提升至 69.4%,北美、歐亞占比有所下滑,分列 18.9%、11.7%;智慧型手機/智能家居/消費電子分別占比 25.4%、16.2%、23.8%;8 英 寸收入占比 31.7%,環比-1.8%,12 英寸收入占比 68.3%,環比+1.8%。 產能利用率持續提升,全年 50 億美金資本開支計劃不變。公司 22Q2 產能利用率為 97.1%,晶圓出貨量 188.7 萬片(等效 8 寸片),同比+8.1%,環比+2.5%。月產能從 22Q1 的 64.9 萬片增加至 22Q2 的 67.4 萬片。22Q2 資本開支 16.72 億美元,22H1 合計 25.41 億美元,增加了折合 8 英寸 5.3 萬片每月的產能。維持全年資本開支 50 億 美元不變。公司預計 22Q3 營收環比增速將達 0%~2%,毛利率指引 38%~40%,產能 利用率保持健康水平,ASP 堅挺。全年業績指引維持不變。管理層預計 H2 結構性緊張 仍將持續,本輪周期調整至少要持續到 23H1。


中芯國際發布公告,公司與天津西青經濟開發集團和西青經濟技術開發區管委會共同簽 署《中芯國際天津 12 英寸晶圓代工生產線項目合作框架協議》。中芯國際擬建設 12 英 寸晶圓產線,項目總投資額 75 億美金,規劃產能 10 萬片/月,提供 28nm~180nm 不同 節點技術,產品用於通訊、汽車電子、消費電子、工業等領域。中芯國際將於西青開發 區全資設立全資子公司,註冊資本 50 億元美金。 中芯國際逆勢投資,彰顯擴產決心,進一步拉動國產設備、材料、零部件需求。國產供 應商半年報陸續披露,我們看到設備廠商在手訂單普遍非常飽滿;材料公司半導體業務 放量,新產品不斷突破;零部件供應持續緊張。

華虹 2022Q2 營收及毛利率均超指引。公司 2022Q2 營收 6.2 億美元(指引 6.15 億美 元),yoy+79.4%,qoq+4.4%;毛利率 33.6%(指引 28~29%),yoy+8.8%,qoq+6.7%; 歸母淨利 8390 萬美元,yoy+90.4%,qoq-18.5%,主因本季度產生了較大的外幣匯兌 損失;淨利率 8.6%,yoy-2.1%,qoq-8.6%。 華虹 8 寸:收入 3.54 億美元,yoy+35.1%,qoq+6.4%;毛利率 44.2%,yoy+12.6%, qoq+5.6%;交付晶圓(8 寸)567 千片,yoy+3.8%,qoq-0.7%; 2)華虹無錫:收入 2.67 億美元,yoy+217.1%,qoq+1.8%;毛利率 19.6%, yoy+16.3%,qoq+7.6%;交付晶圓(折合 8 寸)469 千片,yoy+154.9%,qoq3.5%。22Q2 交付晶圓總量達到 103.6 萬片,yoy+41.9%,qoq-2.0%。

產能利用率持續飽滿,工業及汽車營收占比提升至 20.3%。22Q2 公司月產能(折合 8 寸)達到 324 千片,環比持平,產能利用率進一步提升至 109.7%。按平台來看,嵌入 式非易失性存儲器營收 1.75 億美元,yoy+69.2%。獨立式非易失性存儲器營收 6960 萬 美元,yoy+279.8%,分立器件營收 1.89 億美元,yoy+57.8%,邏輯及射頻營收 7700 萬 美元,yoy+35.1%,模擬與電源管理營收 1.1 億美元,yoy+133.0%。按製程來看,55 及65nm營收1.14億美元,yoy+274.0%,90nm及95nm營收1.15億美元,yoy+132.9%,0.11µm 及 0.13µm 營收 1.04 億美元,yoy+47.5%,0.15µm 及 0.18µm 營收 4730 萬 美元,yoy+28.2%,0.25µm 營收 360 萬美元,yoy-48.2%,0.35µm 及以上營收 2.365 億美元,yoy+56.0%。終端市場方面,消費電子營收4.05億美元,占比65.2%,yoy+83.5%, 工業及汽車產品營收 1.26 億美元,yoy+90.2%,占比提升至 20.3%,資本開支:22Q2 資本支出 1.12 億美元,yoy-17.7%,qoq-9.5%。22Q3 指引:22Q3 營收指引 6.25 億美 元;毛利率指引:33~34%。


1.6 國產替代勢頭不改,先進封裝需求持續增長

2022 年上半年以來,受疫情、俄烏衝突、消費品市場疲軟等因素影響,封測行業稼動率 有所鬆動。與此同時,後摩爾時代經濟效能提升出現瓶頸,先進封裝重要性凸顯。國內 封測廠在國產替代、先進封裝需求強勁背景下,2022H1 總體營收仍保持增長,四家核心 供應商上半年營收總計 320 億元,同比增長 17.6%,歸母淨利潤 26.1 億元,同比微增 0.4%。

先進封裝延續摩爾定律,市場規模持續增長。Yole 數據顯示,儘管 2019 年半導體產業 將出現放緩,然而先進封裝市場仍將保持增長趨勢,同比增長約 6%。2024 年先進封裝 市場規模將達 440 億美元,2018~2024 CAGR 達 8%的成長,同一時期,Yole 預測傳統封裝市場 CAGR 為 2.4%,IC 封裝產業整體 CAGR 為 5%。

隨著智能駕駛、AIOT、數據中心及 5G 等市場的成熟,Yole 預計 2.5D/3D TSV 技術、FanOut 技術、ED 技術等先進封裝技術的市場規模 CAGR 將保持高速增長,分別達 26%、 26%、49%。晶方科技目前主要技術集中在 WLSCP、TSV、FAN-OUT 等先進封裝工藝、 LGA/MOUDLE 等晶片級封裝工藝。

除傳統 OSAT 企業,近年一些 IDM 和 Foundry 也在內部開始發展封測業務以提升自 身生產效率和自主服務能力。這些業務多集中在先進封裝技術,使得這些廠商在封測行 業持續保持先進性和核心競爭力,如台積電的 SoIC(系統整合晶片封裝)和 WoW(16 納米晶圓堆疊晶圓)等 3D IC 封裝技術,預期 2021 年進入量產。


根據 Yole,2021 年全球先進封裝資本開支 119 億美金,其中英特爾占比 29%,台積電 26%,日月光 17%。Yole 預計 2022 年全球先進封裝資本開支有望達到 150 億美金,同 比增長 26%,約占 2022 年先進封裝總收入的近 44%。

受益 5G、自動駕駛、AI、數據中心、可穿戴產品等快速發展,Yole 測算 2021 年全球先 進封裝市場規模達到 321 億美金,預計到 2027 年增長到 572 億美金,CAGR 10.1%。 按封裝技術來看,2.5D/3D 封裝複合增速最快,2021 年市場規模 66 億美金,到 2027 年 達到 148 億美金,CAGR 14.3%;FCBGA 是目前先進封裝中市場規模最大的技術,2021 年市場規模 108 億美金,2027 年仍然在先進封裝中占比最高,達到 173 億美金,複合 增速 8.2%。

1.7 SiC:多領域推動發展,新品持續推出

SiC 器件在新能源汽車應用中具有更大優勢。IGBT 是雙極型器件,在關斷時存在拖尾電 流,因此關斷損耗大。MOSFET 是單極器件,不存在拖尾電流,SiC MOSFET 的導通電 阻、開關損耗大幅降低,整個功率器件具有高溫、高效和高頻特性,能夠提高能源轉換 效率。


電機驅動:電機驅動中使用 SiC 器件的優勢在於提升控制器效率,提升功率密度和開關 頻率,減少開關損耗以及簡化電路散熱系統,從而降低成本、大小,改善功率密度。豐 田的 SiC 控制器將電驅動控制器體積減小 80%。

電源轉換:車載 DC/DC 變換器的作用是將動力電池輸出的高壓直流電轉換為低壓直流 電,從而為動力推進、HVAC、車窗升降、內外照明、信息娛樂和一些傳感器等不同系統 提供不同的電壓。使用 SiC 器件可降低功率轉換損耗並實現散熱部件的小型化,從而減 小變壓器體積。 充電模塊:車載充電器和充電樁使用 SiC 器件,能夠發揮其高頻、高溫和高壓的優勢, 採用 SiC MOSFET,能夠顯著提升車載/非車載充電機功率密度、減少開關損耗並改善熱 管理。根據 Wolfspeed,汽車電池充電機採用 SiC MOSFET 在系統層面的 BOM 成本將降 低 15%;在 400V 系統相同充電速度下,SiC 充電量較矽材料可以翻倍。

多因素推動,SiC 大規模運用甜蜜點到來。儘管 SiC 功率器件在性能上有諸多優勢,但 此前 SiC 的發展主要受到價格、晶圓質量、工藝技術等限制,沒有被大規模使用。近兩 年,起步較早的 Wolfspeed、Rohm、英飛凌等海外廠商不斷進行產品疊代,產品性能、 質量持續提升;晶圓良率提升,尺寸升級,產能擴充,襯底價格快速下探,我們認為 SiC器件廣泛應用的甜蜜點已經到來。

Die Size 和成本是 SiC 技術產業化的核心變量。我們比較目前市場主流 1200V 矽基 IGBT 及 SiC 基 MOSFET,可以發現 SiC 基 MOSFET 產品較 Si 基產品能夠大幅減少 Die Size,且表現性能更好。但是最大阻礙仍在於 Wafer Cost,根據 Yole 測算,單片成本 SiC 比 Si 基產品高出 7~8 倍。


目前 A 股上市公司中,各公司在 SiC 領域進展迅速,且重視程度較高。9 月 2 日三安光 電發布其 1200V SiC MOSFET 新品,具有新產品具備低比導通電阻(Ronsp)、高擊穿電 壓、低閾值電壓穩定性;天岳先進發布公告,公司 6 吋導電型 SiC 襯底獲得 13.93 億長 單,預計三年銷售總額將達到 13.93 億元;斯達半導、華潤微、揚傑科技等公司 SiC 產 品也在陸續推出,並獲得客戶認證或進入量產階段。

1.8 功率半導體:22H1 業績穩增,車規級產品進展迅速

功率半導體市場規模穩步增長,2020~2024 CAGR 預計約為 5%。根據 IHS 統計 2018 年全球功率半導體市場約為 466 億美元,同比增長約 11%,其中功率 IC 市場約 256 億 美元,功率分立器件及模組規模約 210 億美元。2019 年貿易摩擦乾擾整體市場收入略 微下降至 454 億美元,2020 年受疫情對終端需求短期的影響,根據 Omdia 預測, 2020~2024 年全球功率半導體規模有望年均 5%的複合增速,穩步增長。

分立器件及模塊占整體市場約 46%,MOSFET、IGBT 為主要部分。我們根據 Omdia, Maximize Market Research 統計數據梳理功率半導體市場結構,2019 年功率分立器件及 模塊合計市場規模達 210 億美元,其中核心器件 MOSFET(含 Si、SiC、GaN 分立器件及 模組)及 IGBT(含分立器件、模塊及 IPM)分別為 84 億美元和 63 億美元。


功率半導體下游應用十分廣泛,汽車及工控為前兩大應用領域。功率半導體幾乎應用於 包括計算機領域、網絡通信、消費類電子、工業控制等傳統電子產業及新能源汽車、光 伏發電等等各類電子製造業。根據 Yole 統計,2019 年汽車及工業領域為前兩大應用領 域,各占據 29%的份額,其次為通訊、計算機等。中長期來看,新能源汽車、工業自動 化、可再生能源設施建設及新興消費電子等領域將持續驅動行業增長。

國內功率半導體公司 2022 上半年業績穩步增長。22H1 國內功率半導體公司業績呈現穩 步增長態勢,其中斯達半導收入同比增長 61%,歸母淨利潤同比增長 125%。功率半導 體受益於下游新能源、汽車等領域的需求增加,在當下產能逐步釋放的階段未來業績值 得期待。

車規級功率半導體進展順利:22H1 功率半導體公司車規產品發展均普遍呈現加速態勢。

斯達半導:22H1 用于于主電機控制器的車規級 IGBT 模塊持續放量,合計配套超過 50 萬輛新能源汽車;基於 650V/750V 的車規級 IGBT 產品新增多個的主電機控制器 平台定點;車規級級 SiC MOSFET 模塊開始大批量裝車應用。

士蘭微:22H1 公司推出用於新能源汽車空調壓縮機驅動的 IPM 方案,並在國內 TOP 汽車空調壓機廠商完成批量供貨;自主研發的 V 代 IGBT 和 FRD 晶片的電動汽 車主電機驅動模塊,已在國內多家客戶通過測試,並已在部分客戶批量供貨。

時代電氣:22H1 公司中低壓器件推進迅速,新能源車用器件交付較 2021 年同期大 幅增長超過 5 倍,市占率迅速增長至超過 10%。

新潔能:公司目前已經實現超過 20 款產品的批量供應,交付數量超過 40 款,客戶 涵蓋小鵬、理想、蔚來、極氪等近 20 家車企或 Tier1 廠商,產品進入主驅電控、 OBC、剎車、ABS 等多部件。

揚傑科技:22H1 公司首顆產品通過了公司和客戶雙重 2000 小時可靠性驗證,其他 車規產品已陸續進入流片階段,未來將不斷擴展產品細分應用領域,加大對於 CLIP、 TOLL 等先進封裝研發投入;22H1 公司車規級封裝產能實現 5 倍以上增長。

宏微科技:司產品主要用於電控系統和空調系統,主要客戶有比亞迪、匯川技術、 臻驅科技等多家知名企業。充電樁應用的主要客戶有英飛源、英可瑞、優優綠能、 特來電等知名企業。22H1 公司車用 820A/750V 模塊產品已獲得客戶驗證並開始批 量交付;車用 400A/750V 定製型模塊產品已獲得客戶認證,且批量交付使用。

二、消費電子:細分領域龍頭地位不斷增強

智慧型手機在 2022 年 H1 表現疲弱,核心 AP 供應商高通、聯發科財務表現均較強,主要 原因包括 5G 出貨量增長、物聯網及智慧家居、AR/VR 及新能源車相關領域需求強勁、 行業競爭格局變化等,行業預期 2022Q4 手機市場將迎來修復。核心 CPU 廠商英特爾、 AMD 本季度財務表現均疲弱。

2.1 消費電子細分核心龍頭業績同比增長

消費電子細分領域核心龍頭營收與利潤同比實現高增長,中國核心龍頭在全球供應鏈行 業地位持續提升,例如 2022 年上半年歌爾股份、立訊精密、東山精密、精研科技等細分 領域龍頭公司實現淨利潤的同步高增長。

對比台灣地區消費電子公司,大陸核心龍頭增速較強;相對同比下降公司,整體下降幅 度較小。我們選取台灣地區與中國大陸幾家具有代表性的消費電子公司進行比較,攝像 頭領域大立光、機殼領域可成、面板友達群創等公司出現了業績下滑疲軟態勢,相比之 下大陸核心龍頭公司的業績更值得關注。

2.2 板塊利潤率相對疲軟,研發費用持續投入

在經歷 2021 年的出貨量短暫復甦後,全球智慧型手機出貨量出線第二輪出貨量走低現象。 根據 IDC 數據,2022 年 Q2 季度,全球智慧型手機出貨量減少至 2.86 億台,同比下跌 9.5%,是全球疫情爆發以來,繼 2020 年 Q2 後的新季度低點。具體來看,2022 年 Q2, 三星智慧型手機出貨量為 6240 萬台,占比市場份額 21.8%,同比 2021 年 Q2 季度上漲為 +7.6%,環比下跌為-15.2%;蘋果智慧型手機出貨量為 4460 萬台,占比市場份額 17%; 小米智慧型手機出貨量達到 3950 萬台,占比市場份額 13.8%。 根據IDC數據修正後,預測2022年全球智慧型手機出貨量從增長1.6%調整至下降3.5%, 總量下降至 13.1 億部。根據 2022 年 H1 出貨量總計 6 億部來看,下半年至少出貨要達 成 7.1 億部左右,根據 2019/2020/2021 年,歷史三年第四季度環比第三季度增長+ 2.9%/9.1%/9.4%,2022 年第三季度/第四季度,假設出貨量平均要達到 3.5 億部,環比 2022 年第二季度增長 18.1%。


2022 年 1-6 月,根據中國工信部數據,國內市場手機總體出貨量累計 1.36 億部,同比 下降 21.7%,其中 5G出貨量總計 1.09 億部,同比下降 14.5%,占同期手機出貨量 80.2%。 2022 年上半年在國內疫情影響下,手機相關線上線下物流及門店銷售渠道受限,導致上 半年消費電子設備成疲軟態勢。我們認為根據下半年疫情影響趨弱,物流及線下門店逐 步恢復以及消費刺激等因素帶動下,手機相關等消費電子設備將逐步回暖,下半年有望 迎來新一輪增長周期。

我們選取申萬消費電子二級目錄品牌消費電子板塊、消費電子零部件及組裝,申萬電子 三級目錄光學元件作為樣本,可以通過對比看到 2022H1 板塊平均毛利率約為 20%同比 下降趨勢,淨利率也成下降態勢,各大公司由於 2021Q4 到 2022H1 受到全球疫情影響, 整體全球消費需求減緩,導致行業平均毛利率和淨利率相對下降。同時各大公司在提升研發投入費用,平均研發費用提升到 2.15 億元,平均研發費率約為 6.02%,呈逐步增長 趨勢。

三、被動元件:業績環比向好,汽車工控需求穩健

工控汽車等細分領域高景氣,板塊整體環比改善明顯。雖然被動元器件整體景氣受制於 周期&疫情等應用,但受益於工控、汽車、軍工等細分領域較高景氣,部分公司 22H1 營 收淨利仍實現正增長。另外板塊中較多公司 Q2 營收淨利實現環比正增長,至暗時刻已 過。

台系龍頭國巨 Q2 正增長,受益於利基型產品需求穩健,營收占比較大。22H1 營收 614.56 億元新台幣,yoy+19.4%;歸母淨利 121.71 億元新台幣,yoy+7.4%;毛利率為 38.5%, 同比-1.6pt。國巨 Q2 營收 313.22 億元新台幣,yoy+13.0%,qoq+3.9%,創公司歷史 最高季度營收;歸母淨利新台幣 59.27 億元,yoy+6.4%,qoq+5.1%;毛利率 38.8%, 同比-2.2pt,環比+0.7pt。22H1 國巨工業、汽車、通訊領域營收占比分別為 28%、20%、 17%;汽車工業合計占比接近 5 成,受消費電子領域需求疲軟影響有限。


從國巨月度營收公告看,1)5 月以來,疫情對客戶需求、運輸端的影響開始逐漸減弱。 2)另外,4 月以來,公司利基型產品需求穩健,標準型產品需求持續調整中。風華利基 型產品主要系車用電子、工業規格、醫療、航太等。

國產廠商加速擴產搶占市場,國產替代持續深化。全球被動元件市場近 300 億美元,日 韓份額領先。2021 年 1 月 29 日,工信部印發《基礎電子元器件產業發展行動計劃(2021- 2023 年)》,持續提升保障能力和產業化水平,支持電子元器件領域關鍵短板產品及技術 攻關,提出目標到 2023 年產業規模不斷壯大,國內電子元器件銷售總額達 2.1 億元,技 術創新取得突破,突破一批電子元器件關鍵技術,力爭 15 家企業營收突破百億元。而當 前國產份額不足,國產替代空間巨大。陸廠擴產動作迅速,短期有望迎量價齊升貢獻業 績彈性,中長期全球地位提升可期!

四、PCB:增速穩健,盈利能力環比改善

以下 PCB 公司 22Q2 營收均值 26.18 億元,同比+5%,環比+1%;歸母淨利 2.48 億元, 同比+14%,環比+19%。Q2 單季板塊營收淨利穩健增長。其中,Q2 單季營收同比增速 在 20%以上的深南電路(22%)、生益科技(+30%)、景旺電子(+22%)、勝宏科技 (+37%)。Q2 歸母淨利同比增速耀眼的有生益科技(+49%)、景旺電子(+39%)、博 敏電子(+74%)。


Q2 盈利能力環比改善,費用率穩中有降。Q2 板塊(取上述標的平均)毛利率 20.54%, 同比-1.34pt,環比+0.2pt;淨利率 9.46%,同比+0.76pt,環比+1.4pt。Q2 板塊研發費 用率 4.87%,同比+0.02pt,環比+0.31pt。此外,其他項器件費用率穩中有降,財務費 用率-0.96%,同比-2.14pt,環比-1.73pt,主要受益於匯兌損益;管理費用率 3.76%,同 比-0.06pt,環比-0.12pt;銷售費用率 1.53%,同比-0.24pt,環比+0.10pt。

800 億美金市場,長期看千億美金,未來五年穩健增長。中長期看未來全球 PCB 行業穩 健增長,據 Prismark 預測,2021-2026 全球 PCB 產值 CAGR 約 4.8%,2026 年全球 PCB 產值將達 1016 億美元。分地區看,中國保持製造中心地位,產值遠高於其他地區 及國家;但產品結構變化及生產轉移亦在發生,使 2021-2026 年中國 PCB 產值 CAGR 4.6%略低於全球平均增速 4.8%。另外,未來 5 年亞洲 PCB 產值 CAGR 增速全球居首。

從產品結構上看,全球 PCB 產業均在向高精度、高密度和高可靠性方向靠攏。隨下游通 信、伺服器和數據存儲、新能源和智能駕駛、消費電子等市場的發展。PCB 產品總體性 能、生產效率不斷提升,向專業化、規模化和綠色生產方向發展。HDI 以及多層板的高 速、高頻率和高熱等應用將繼續擴大。據 Prismark 數據可見,8 層及以上多層板,微盲 孔板,柔性板未來增速皆較高,矽基板增速亮眼。另外,中國在上述高端品類中,未來 增速領先全球其他地區,系高端 PCB 品類發展先鋒。而亞洲其他地區在其他較低端的品 類中,承接更多業務,增速高於全球平均。

分應用領域看,伺服器/數據存儲及汽車領域增速最為突出。2021~2026 產值 CAGR, 服 務器/數據存儲 10%,汽車 7.5%;消費(手機等),其他通信(有線/無線基建)增速皆 在 5%左右區間。

五、科學儀器:國產空間廣闊,業績兌現能力強勁

電子測量儀器是科學儀器的一種,主要以電子技術為基礎融合了電子測量、數位訊號處 理、微電子技術等多項技術,可以對電量、非電量、光量進行測量。根據 Frost & Sullivan 預測,2015~2025 年全球電子測量儀器規模將由 101 億美元提升至 172 億美元,CAGR 為 5.47%。


下游多應用場景帶動產業持續穩定發展。電子測試儀器是近代科學的基石,而通用電子 測試儀器則對於電子工程師來說不可或缺,在產品設計、驗證、製造等過程中均需要倚 靠通用電子測試儀器。所以電子測試儀器廣泛應用在在電子半導體、晶片製造、5G 通信、 新能源汽車、消費電子等諸多領域。

全球市場份額被海外公司占據,全球前五大企業市占率 50%左右。根據 Frost & Sullivan 的數據統計,2019 年是德科技以電子測量儀器營收 35.54 億美元占據全球 25.9%的市 場份額位列全球第一,位列其後的分別是羅德與施瓦茨、安立、泰克、力科。全球電子 測量儀器的頭部公司主要來自於美國,前五大企業占據了全球 48.7%的市場份額。

乘國產化東風,科學儀器儀表公司 22H1 業績亮眼。目前我國的科學儀器國產化率依舊 較低,具有較大國產化替代空間的同時國產儀器公司技術指標發展迅速,雖然上半年存 在部分晶片缺貨、疫情影響等不利因素,部分科學儀器代表公司在業績上依舊較為亮眼, 其中時域類儀器代表公司鼎陽科技、普源精電上半年歸母淨利潤分別同比增長 39%、 240%;頻域類儀器代表公司坤恆順維在營收、利潤端均實現同比與環比的高速增長。

六、安防:主業有望回暖,數位化開啟第二增長曲線

上半年各項擾動加劇波動,業績略有下滑。安防行業核心公司包括海康威視和大華股份: 海康威視:2022Q1 營業收入 165 億元,同比增長 18.11%;扣非歸母淨利潤 22.10 億 元,同比增長 9.30%;2022Q2 營業收入 207 億元,同比 4.13%;扣非歸母淨利潤 34 億元,同比下降 18.18%。 大華股份:2022Q1 營業收入 58.48 億元,同比增長 14.34%;扣非歸母淨利潤 3.46 億 元,同比增長 11.00%。2022Q2 營業收入 82.39 億元,同比下降 1.81%;扣非歸母淨利 潤 9.10 億元,同比下降 19.34%。 整個行業而言,2022Q1/Q2 受疫情和海外政治以及戰爭等因素影響,利潤端大幅受壓制。 隨著國內疫情逐漸緩解,安防核心公司營業收入下半年有望逐季改善。


安防主業有望回暖,企業數位化開啟第二增長曲線:2008~2017 年黃金年代。安防龍頭(海康、大華)在 2008~2017 年十年複合增速 高達 40%,增長核心來自於中國大陸 GDP 增速高、城鎮化率提升、行業持續升級、 龍頭廠商份額提升四重邏輯。 2018~2020 年是低谷階段,行業增速降台階。2017 年財政大年,安防行業「高光 時刻」;2018 年安防增速降台階、貿易紛爭加劇;2019 年安防龍頭企業被納入實 體清單;2020 年受疫情影響衝擊行業需求。 2021 年行業景氣度有所上升。傳統主業(主要體現在 PBG、SMBG)受益於順周期 修復,新業務(企業數位化,主要體現在 EBG)抗周期屬性漸顯。2020Q4,PBG、 SMBG 開始顯著修復。行業龍頭海康威視、大華股份收入增速 2021Q1 均超過 40%; 2021Q2 均超過 30%,海康威視和大華股份全年營業收入增速均超 20%,全年扣非 歸母淨利潤增速均在 30%左右。 展望 2022,上半年疫情擾動明顯,下半年行業有望修復。根據行業龍頭公司上半年 各季度業績對比,二季度受疫情影響對整體經營業績拖累明顯,下半年隨著疫情恢 復常態化管理,行業景氣度有望得到修復。

傳統行業向數位化升級,全球科技周期向上共振,智慧視頻物聯領域產生海量數據。人 工智能率先將在智慧視頻物聯領域落地,未來大數據、雲計算、邊緣計算打開行業天花 板。視頻監控作為 AI 感知的入口端和海量數據的分析源,智慧視頻龍頭大華股份保持 戰略定力,在行業轉型期把握前端的智慧感知能力和大數據的建模能力,打開智慧物聯 海量空間。 三輪升級下,從產品、到解決方案、到人工智慧,「軟體」行業屬性不斷加強。安防行 業從產品、工程向方案轉型的過程中,依附於視頻監控設備的平台、應用等軟體價值逐 漸凸顯。安防龍頭憑藉整體架構設計能力和平台應用開發的軟實力,針對場景定製化, 深度服務客戶。安防行業已經從硬體行業向軟硬結合轉變,人工智慧化將進一步強化安 防行業「軟體」的屬性。

(本文僅供參考,不代表我們的任何投資建議。如需使用相關信息,請參閱報告原文。)

精選報告來源:【未來智庫】。系統發生錯誤

關鍵字: