Chiplet:準備好了嗎?

半導體行業觀察 發佈 2021-10-18T07:50:31+00:00

來源:內容由半導體行業觀察(ID:icbank)編譯自Semiwiki,謝謝。自2010 年以來,摩爾定律的好處開始瓦解。按照摩爾定律規定,電晶體密度每兩年翻一番,計算成本將相應減少 50%。但最近的摩爾定律的變化是由於設計複雜性的增加,電晶體結構從平面器件演變為 Finfet。

來源:內容由半導體行業觀察(ID:icbank)編譯自Semiwiki,謝謝。

自2010 年以來,摩爾定律的好處開始瓦解。按照摩爾定律規定,電晶體密度每兩年翻一番,計算成本將相應減少 50%。但最近的摩爾定律的變化是由於設計複雜性的增加,電晶體結構從平面器件演變為 Finfet。Finfet 需要對光刻進行多次圖案化,以實現低於 20 納米節點的器件尺寸所造成的結果。

在本世紀初,計算需求呈爆炸式增長,這主要是由於數據中心的激增以及生成和處理的數據量。事實上,採用人工智慧 (AI) 和機器學習 (ML) 等技術現在已被用於處理不斷增加的數據,並導致伺服器需要大幅增加其計算能力。

伺服器增加了更多的 CPU 內核,集成了專用於機器學習的更大 GPU,而不僅僅用於圖形,並嵌入了定製的 ASIC AI 加速器或基於 FPGA 的補充 AI 處理。早期的 AI 晶片設計是使用更大的單片 SoC 實現的,其中一些達到了掩模版的尺寸限制,大約 700mm²。

在這一點上,分解為更小的 SoC 加上各種計算和 IO 小晶片似乎是正確的解決方案。英特爾、AMD 或 Xilinx 等幾家晶片製造商已為即將投入生產的產品選擇此選項。

在 The Linley Group 的優秀白皮書「Chiplets Gain Rapid Adoption: Why Big Chips Are Getting Small」中表明,與單片 SoC 相比,此選項可帶來更好的成本,因為更大的良率影響。

這一趨勢對 IP 供應商的主要影響主要在於用於連結 SoC 和小晶片的互連功能。在現在(2021 年第三季度),有幾種協議正在使用,業界正試圖為其中許多建立正式的標準。

當前領先的 D2D 標準包括:

  • 最初由英特爾定義的高級接口總線(AIB、AIB2),現在提供免版稅使用版本;

  • 高帶寬內存 (HBM),其中 DRAM 晶片在矽中介層上相互堆疊並且使用 TSV 連接;

  • 開放域特定架構 (ODSA) 子組,一個行業組,定義了另外兩個接口,束線 (BoW) 和 OpenHBI。

異構小晶片設計使我們能夠通過僅修改或添加相關小晶片同時保持系統其餘部分不變來針對不同的應用程式或細分市場。新開發可以更快地推向市場,投資顯著降低,因為重新設計只會影響用於容納小晶片的封裝基板。

例如,計算小晶片可以從 TSMC 5nm 重新設計為 TSMC 3nm,以集成更大的 L1 緩存或更高性能的 CPU 內核,同時保持系統的其餘部分不變。在頻譜的另一端,只有集成 SerDes 的小晶片可以重新設計,以在新的工藝節點上實現更快的速率,從而提供更多的 IO 帶寬以實現更好的市場定位。

Intel PVC 是異構集成(各種功能小晶片、CPU、交換機等)的一個完美例子,當同一晶片製造商擁有各種小晶片組件(內存設備除外)時,我們可以稱之為垂直集成。

為 HPC、數據中心、人工智慧或網絡等高端應用開發 SoC 的晶片製造商很可能是小晶片架構的早期採用者。例如用於更大 L3 緩存的 SRAM,或 AI 加速器,乙太網、PCIe 或 CXL 標準等特定功能應該是小晶片設計的首選接口。

當這些早期採用者證明異構小晶片利用多種不同業務模型的有效性,並明顯地展現測試和封裝製造可行性後,他們將創建出一個生態系統,該生態系統對支持這項新技術至關重要。在這一點上,我們可以期待更廣泛的市場採用,而不僅僅是高性能應用。

我們可以想像,如果晶片製造商在市場上推出由各種針對計算和 IO 功能的小晶片組成的系統,異構產品可以走得更遠。這種方法使 D2D 協議的融合成為強制性的,因為提供具有內部 D2D 協議的小晶片 IP 供應商對行業沒有吸引力。

與此類似的是 2000 年代的 SoC 構建,半導體公司在此過渡到集成來自不同來源的各種設計 IP。2000年代的IP廠商必然會成為2020年代的chiplet廠商。對於某些功能,例如高級 SerDes 或複雜協議,例如 PCIe、乙太網或 CXL,IP 供應商擁有在矽片上實現它的最佳專業知識。

對於複雜的設計 IP,即使在交付給客戶之前已經進行了仿真驗證,供應商也必須在矽片上驗證 IP 以保證性能。對於數字 IP,該功能可以在 FPGA 中實現,因為它比製作測試晶片更快且成本更低。對於混合信號 IP,如基於 SerDes 的 PHY,供應商選擇測試晶片 (Test Chip:TC) 選項,允許他們在向客戶發貨之前在矽中表徵 IP。

儘管小晶片不僅僅是一個 TC,因為它在用於現場之前會經過廣泛的測試和認證,供應商為開發生產小晶片所做的增量工作量要少得多。換句話說,IP 供應商最有能力快速發布基於他自己的 IP 構建的小晶片,並提供最佳的 TTM 並最大限度地降低風險。

異構集成的商業模式有利於相關 IP 供應商製造的各種小晶片(例如,ARM 用於基於 ARM 的 CPU 晶片,Si-Five 用於基於 Risc-V 的計算晶片,Alphawave 用於高速 SerDes 晶片),因為他們是設計 IP 的所有者。

這一切都無法阻止晶片製造商設計自己的小晶片並採購複雜的設計 IP,以保護其獨特的架構或實現自製互連。與 2000 年代的 SoC 設計 IP 類似,小晶片的購買或製造決策將在核心能力保護和非差異化功能的採購之間權衡。

我們已經看到,自 2000 年代以來的歷史和現代設計 IP 業務增長一直是通過不斷採用外部採購來維持的。兩種模式將共存(由內部或 IP 供應商設計的小晶片),但歷史表明,購買決定最終取代了製造。

現在業界一致認為,對實現摩爾定律的瘋狂關注不再適用於先進技術節點,例如。7nm及以下。晶片集成仍在進行中,每個新技術節點上每平方毫米都會增加更多的電晶體。然而,每個電晶體的成本也隨著每個新節點的增加而增加。

小晶片技術是推動主 SoC 集成度提高的關鍵舉措,同時將舊節點用於其他功能。這種混合策略降低了與將其他設計 IP 直接集成到主 SoC 相關的成本和設計風險。

IPnest 認為,這一趨勢將對接口 IP 業務產生兩個主要影響,一是 D2D IP 收入很快(2021-2025)強勁增長,二是創建異構小晶片市場以擴大高端晶片智慧財產權市場。

預計這個市場將由複雜的協議功能組成,如 PCIe、CXL 或乙太網。提供集成在 I/O SoC(USB、HDMI、DP、MIPI 等)中的接口 IP 的 IP 供應商可能決定改為提供 I/O 小晶片。

受這場革命影響的其他 IP 類別將是用於 L3 緩存的 SRAM 存儲器編譯器 IP 供應商。從本質上講,緩存大小預計會因處理器而異。儘管如此,設計 L3 緩存小晶片可以成為 IP 供應商通過提供新產品類型來增加設計 IP 收入的一種方式。

同樣,NVM IP 類別也會受到積極影響,因為 NVM IP 不再集成在基於高級工藝節點設計的 SoC 中。這將是 NVM IP 供應商通過提供小晶片來產生新業務的一種方式。

我們認為 FPGA 和 AI 加速器小晶片將成為 ASSP 晶片製造商的新收入來源,但我們認為它們不能被嚴格列為 IP 供應商。

如果接口 IP 供應商將成為這場矽革命的主要參與者,那麼處理最先進節點的矽代工廠(如台積電和三星)也將發揮關鍵作用。

我們認為代工廠不會設計小晶片,但他們可以決定支持 IP 供應商並推動他們設計與 3nm SoC 一起使用的小晶片,就像他們今天支持先進 IP 供應商推銷其高端 SerDes 時所做的那樣——把它們作為 7nm 和 5nm 中的硬 IP。

英特爾最近過渡到第三方代工廠預計還會利用第三方IP,以及由半導體異質重量級採用小晶片。在這種情況下,毫無疑問,像微軟、亞馬遜和谷歌這樣的Hyperscalars也將採用小晶片架構……如果它們在小晶片採用方面不領先於英特爾。

★ 點擊文末【閱讀原文】,可查看聲明原文!

*免責聲明:本文由作者原創。文章內容系作者個人觀點,半導體行業觀察轉載僅為了傳達一種不同的觀點,不代表半導體行業觀察對該觀點讚同或支持,如果有任何異議,歡迎聯繫半導體行業觀察。

今天是《半導體行業觀察》為您分享的第2831內容,歡迎關注。

晶圓|集成電路|設備|汽車晶片|存儲|台積電|AI|封裝

原文連結!

關鍵字: